欧美aⅴ在线,国产手机精品一区二区,日韩国产欧美一区二区三区,精品视频在线观看视频免费视频,欧美在线小视频,精品一区视频

咨詢熱線:021-80392549

MathWorks推出基于MATLAB生成HDL代碼的產品

放大字體  縮小字體 發布日期:2014-10-10     來源:[標簽:出處]     作者:[標簽:作者]     瀏覽次數:100
核心提示:

  MathWorks 近日宣布推出 HDL Coder,該產品 支持MATLAB 自動生成 HDL 代碼,允許工程師利用廣泛應用的 MATLAB 語言實現 FPGA 和 ASIC 設計。MathWorks 還宣布推出了 HDL Verifier,該產品包含用于測試 FPGA 和 ASIC 設計的 FPGA 硬件在環功能。有了這兩個產品,MathWorks 現在可提供利用 MATLAB 和 Simulink 進行 HDL 代碼生成和驗證的能力。

  MathWorks 嵌入式應用程序和認證部經理 Tom Erkkinen 說:“世界各地的工程師都在使用 MATLAB 和 Simulink 來設計系統和算法。現在,有了 HDL Coder 和 HDL Verifier,他們在開發 FPGA 和 ASIC 設計時再也不用手動編寫 HDL 代碼,也不再需要手寫HDL測試平臺了。”

  HDL Coder 利用 MATLAB 功能和 Simulink 模型生成可移植和可綜合的 VHDL 和 Verilog 代碼,可用于 FPGA 編程或 ASIC 原型開發和設計。因此,工程師隊伍現在可以立即識別出針對硬件實現的最佳算法。Simulink 模型和所生成 HDL 代碼之間的可追溯性同時也支持開發遵循 DO-254 和其他標準的高完整性應用程序。

  Xilinx 全球營銷和業務發展部高級副總裁 Vin Ratford說:“HDL Coder 提供與 Xilinx ISE 設計套件的集成通道,創建了一種按鈕式工作流程,這樣,使用 MathWorks 產品的算法開發人員開發面向 Xilinx FPGA 的應用就更加容易了。這種集成化使得我們的共同客戶能夠訪問大量經過Xilinx優化的IP組合,進一步提高了他們的生產率。”

  HDL Verifier 目前支持 Altera 和 Xilinx FPGA 開發板的 FPGA 硬件在環驗證。HDL Verifier 提供協同仿真界面,能將 MATLAB 和 Simulink 與 Cadence Incisive、Mentor Graphics ModelSim 以及 Questa HDL 等仿真程序聯結。有了這些功能,工程師可以迅速驗證 HDL 實現是否符合 MATLAB 算法和 Simulink 系統規格。

  Altera 公司產品及企業營銷副總裁 Vince Hu 指出:“隨著越來越多的行業采用 FPGA,

  設計師需要一種方法來彌合系統模型和 FPGA 設計之間的驗證差距。HDL Verifier 將系統模型與 FPGA 結合一起,使得工程師能夠使用 Altera FPGA 和 Simulink 進行 FPGA 硬件在環驗證。這個工作流程縮短了驗證周期,同時也幫助工程師在芯片實現方面樹立了更強的信心。”

工博士工業品商城聲明:凡資訊來源注明為其他媒體來源的信息,均為轉載自其他媒體,并不代表本網站贊同其觀點,也不代表本網站對其真實性負責。您若對該文章內容有任何疑問或質疑,請立即與商城(www.lmelshi.com)聯系,本網站將迅速給您回應并做處理。
聯系電話:021-31666777
新聞、技術文章投稿QQ:3267146135  投稿郵箱:syy@gongboshi.com
主站蜘蛛池模板: ririai66在线观看视频| www.亚洲成人.com| 亚洲综合中文| 污视频免费在线| 97在线播放| 国产在线精品一区二区中文| 亚洲精品在线网址| 少妇人妻挤奶水中文视频毛片| 99视频精品全部在线播放| 欧美色视频日本| 国产色片在线| 亚洲性片| 亚洲卡通动漫中文字幕区| 欧美大片大片播放网站| 99爱在线观看| 欧美片在线观看| 国产精品视频视频久久| 国产裸体美女视频全黄| 在线视频 一区二区| 一区二区三区四区日韩| 国产免费一区二区在线看| 中文字幕欧美成人免费| 亚洲黄色免费在线观看| 欧美资源站| 国产成人免费高清在线观看| 免费观看欧美成人1314色| 日本午夜免费福利视频| 免费aⅴ在线| 青青操综合| 亚洲美女一级片| 双乳被老汉揉搓玩弄a毛片| 久久这里都是精品| 2021国产精品视频| 综合伊人久久| 绝叫痉挛地狱大战4黑人| 久久免费视频网| 国产精品免费观看网站| 欧美精品高清在线观看| 国产亚洲婷婷香蕉久久精品| 亚洲社区在线观看| 欧美日韩国产在线成人网|